Publications

Filter by type:
. Simba: Scaling Deep-Learning Inference with Multi-Chip-Module-Based Architecture. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO ‘52), 2019.

PDF DOI

. A 0.11 pJ/Op, 0.32-128 TOPS, Scalable Multi-Chip-Module-based Deep Neural Network Accelerator Designed with a High-Productivity VLSI Methodology. Hot Chips: A Symposium on High Performance Chips, 2019.

PDF

. A 0.11 pJ/Op, 0.32-128 TOPS, Scalable Multi-Chip-Module-based Deep Neural Network Accelerator with Ground-Reference Signaling in 16nm. 2019 Symposium on VLSI Circuits (VLSI), 2019.

PDF DOI

. A Fine-Grained GALS SoC with Pausible Adaptive Clocking in 16 nm FinFET. 2019 25th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC), 2019.

PDF DOI

. A Modular Digital VLSI Flow for High-Productivity SoC Design. Proceedings of the 55th Annual Design Automation Conference (DAC), 2018.

PDF DOI

. A Modular Digital VLSI Flow for High-productivity SoC Design. Government Microcircuit Applications & Critical Technology Conference (GOMACTech), 2018.

. iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor. IEEE Journal of Solid-State Circuits, 2018.

PDF DOI

. Impact of FinFET on Near-Threshold Voltage Scalability. IEEE Design Test, 2017.

PDF DOI

. Supply boosting for high-performance processors in flip-chip packages. ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, 2016.

PDF DOI

. Near-threshold computing in FinFET technologies: Opportunities for improved voltage scalability. 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC), 2016.

PDF DOI

. Public Key Cryptography. Circuits and Systems for Security and Privacy, 2016.

DOI

. iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor. 2016 IEEE International Solid-State Circuits Conference (ISSCC), 2016.

PDF DOI

. Reconfigurable self-timed regenerators for wide-range voltage scaled interconnect. 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC), 2015.

PDF DOI

. Near-Threshold Computing: Past, Present, and Future. University of Michigan, 2015.

PDF

. A fully-integrated 40-phase flying-capacitance-dithered switched-capacitor voltage regulator with 6mV output ripple. 2015 Symposium on VLSI Circuits (VLSI Circuits), 2015.

PDF DOI

. A reconfigurable sense amplifier with auto-zero calibration and pre-amplification in 28nm CMOS. 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2014.

PDF DOI

. Limits of Parallelism and Boosting in Dim Silicon. IEEE Micro, 2013.

PDF DOI

. Shortstop: An on-chip fast supply boosting technique. 2013 Symposium on VLSI Circuits, 2013.

PDF

. A 467nW CMOS visual motion sensor with temporal averaging and pixel aggregation. 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2013.

PDF DOI

. Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction. IEEE Journal of Solid-State Circuits, 2013.

PDF DOI

. XPoint cache: Scaling existing bus-based coherence protocols for 2D and 3D many-core systems. 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT), 2012.

PDF

. Swizzle Switch: A self-arbitrating high-radix crossbar for NoC systems. 2012 IEEE Hot Chips 24 Symposium (HCS), 2012.

PDF DOI

. Swizzle-Switch Networks for Many-Core Systems. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2012.

PDF DOI

. Reevaluating fast dual-voltage power rail switching circuitry. 2012 Workshop on Duplicating, Decontructing and Debunking (WDDD), 2012.

PDF

. Randomized value generation. United States Patent Office, 2012.

PDF

. Bubble Razor: An architecture-independent approach to timing-error detection and correction. 2012 IEEE International Solid-State Circuits Conference, 2012.

PDF DOI

. Assessing the Performance Limits of Parallelized Near-threshold Computing. Proceedings of the 49th Annual Design Automation Conference, 2012.

PDF DOI

. A true random number generator using time-dependent dielectric breakdown. 2011 Symposium on VLSI Circuits - Digest of Technical Papers, 2011.

PDF

. Ultra-low power silicon photonic transceivers for inter/intra-chip interconnects. 2010.

DOI

. Low power silicon photonic transceivers. IEEE Photonics Society Summer Topicals 2010, 2010.

DOI

. A package demonstration with solder free compliant flexible interconnects. 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC), 2010.

PDF DOI

. Optical Distress Beacon for Space Use. United States Patent Office, 2010.

PDF

. Ultra-low-energy all-CMOS modulator integrated with driver. Opt. Express, 2010.

PDF DOI

. Circuits for silicon photonics on a “macrochip”. 2009 IEEE Asian Solid-State Circuits Conference, 2009.

PDF DOI

. An Ultra-Low Power All CMOS Si Photonic Transmitter. Frontiers in Optics 2009/Laser Science XXV/Fall 2009 OSA Optics & Photonics Technical Digest, 2009.

DOI

. A Test Platform for the Thermal, Electrical, and Mechanical Characterization of Packages. Proceedings of the 42nd International Microelectronics and Packaging Society (IMAPS), 2009.

PDF

. Parallelized Booth-Encoded Radix-4 Montgomery Multipliers. 16th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2008.

PDF

. Parallel high-radix Montgomery multipliers. 2008 42nd Asilomar Conference on Signals, Systems and Computers, 2008.

PDF DOI

. Optical Distress Beacon for Space Use. 3rd International Association for the Advancement of Space Safety Conference, 2008.

PDF

. A MIPS R2000 implementation. 2008 45th ACM/IEEE Design Automation Conference, 2008.

PDF DOI

. Parallelized Radix-4 Scalable Montgomery Multipliers. Journal of Integrated Circuits and Systems, 2008.

PDF

. Parallelized Radix-4 Scalable Montgomery Multipliers. Proceedings of the 20th Annual Conference on Integrated Circuits and Systems Design, 2007.

PDF DOI

. Impulse Response Pressure Transducer. 13th Internal Congress on Sound and Vibration, 2006.

PDF

. Pulse-width modulation for microcontroller servo control. IEEE Potentials, 2006.

PDF DOI